module or_4b (iA,iB,oY); input[3:0] iA,iB; output[3:0] oY; wire[3:0] oY; assign oY = iA | iB; endmodule