module alu_4b (iS,iA,iB,oY); input[1:0] iS; input[3:0] iA,iB; output[3:0] oY; wire[3:0] oY, tY0, tY1, tY2, tY3; wire tD0, tD1; add_4b a_add (1'b0,iA,iB,tD0,tY0); sub_4b a_sub (1'b0,iA,iB,tD1,tY1); and_4b l_and (iA,iB,tY2); or_4b l_or (iA,iB,tY3); defparam omux.BITS = 4; dmux41 omux (iS,tY0,tY1,tY2,tY3,oY); endmodule