module dmux41 (iS,iA,iB,iC,iD,oY); parameter BITS = 6; input[1:0] iS; input[BITS-1:0] iA,iB,iC,iD; output[BITS-1:0] oY; wire[BITS-1:0] oY,tP,tQ; dmux21 s00 (iS[0],iA,iB,tP); dmux21 s01 (iS[0],iC,iD,tQ); dmux21 s10 (iS[1],tP,tQ,oY); endmodule