module dff (iclk,idat,odat); input iclk,idat; output odat; reg odat; always @(iclk) begin if (iclk==1'b1) begin odat = idat; end end endmodule