module ringctr4 (iclk,irst,ienb,ostt); input iclk,irst,ienb; output[3:0] ostt; wire[3:0] ostt, qout; dffepc s0 (iclk,ienb,irst,1'b0,qout[3],qout[0]); dffepc s1 (iclk,ienb,1'b0,irst,qout[0],qout[1]); dffepc s2 (iclk,ienb,1'b0,irst,qout[1],qout[2]); dffepc s3 (iclk,ienb,1'b0,irst,qout[2],qout[3]); assign ostt = qout; endmodule