module ringctr4_tb (); parameter CLKP = 10; reg dclk; // clock net always begin #(CLKP/2) dclk = ~dclk; end reg drst; task state_reset ; input integer clen; integer iter; begin $write("[%05g] Reset state machine ",$time); $display("for %g clock cycles",clen); drst = 1'b1; for (iter=0;iter